正在加载图片...
SkyEye模拟硬件介绍 存储器管理单元和缓存单元 ●MU Memory Management Unit 存储器管理单元, 是用来管理虚拟内存系统的硬件· MU的两个主要功能是: ①将虚地址转换成物理地址: ©控制存储器的存取权限。 MMU关掉时,虚地址直接输出到物理地址总线 4口4四1是4P在刀0C 陈香兰(xlanchenoustc,edu,cn)(升界款应 长人式操作系统 November 28.2014 5/46. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SkyEye模拟硬件介绍 存储器管理单元和缓存单元 MMU Memory Management Unit, 存储器管理单元, 是用来管理虚拟内存系统的硬件。 ▶ MMU的两个主要功能是: 1. 将虚地址转换成物理地址; 2. 控制存储器的存取权限。 ▶ MMU关掉时,虚地址直接输出到物理地址总线 陈香兰(xlanchen@ustc.edu.cn) (计算机应用教研室@计算机学院嵌入式系统实验室 嵌入式操作系统 @苏州研究院中国科学技术大学Fall 2014) November 28, 2014 5 / 46
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有