正在加载图片...
2 Verilog hdl硬件描述语言 Chinapub.com 下载 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式一使用过 程化结构建模:数据流方式——使用连续赋值语句方式建模:结构化方式一使用门和 模块实例语句描述建模。 Ⅴ erilog hdl中有两类数据类型:线冈数据类型和寄存器数据类型。线网类型表示构件 间的物理连线,而寄存器类型表示抽象的数据存储元件。 能够描述层次设计,可使用模块实例结构描述任何层次。 ·设计的规模可以是任意的:语言不对设计的规模(大小)施加任何限制 Verilog hdl不再是某些公司的专有语言而是IEEE标准。 人和机器都可阅读 Verilog语言,因此它可作为EDA的工具和设计者之间的交互语 Ⅴ erilog hdl语言的描述能力能够通过使用编程语言接口(PLI)机制进一步扩展。PLI 是允许外部函数访问 Verilog模块内信息、允许设计者与模拟器交互的例程集合。 ·设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级, 包括进程和队列级 能够使用内置开关级原语在开关级对设计完整建模。 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。 Verilog hdl能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和 显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息 ·在行为级描述中, Verilog hDl不仅能够在RTL级上进行设计描述,而且能够在体系结 构级描述及其算法级行为上进行设计描述 能够使用门和模块实例化语句在结构级进行结构描述。 图1-1显示了 Verilog HDL的混合方式建 模能力,即在一个设计中每个模块均可 开关 算法 以在不同设计层次上建模。 Ⅴ erilog HDL还具有内置逻辑函数,例 如&(按位与)和(按位或)。 开关 ·对高级编程语言结构,例如条件语句 情况语句和循环语句,语言中都可以使 可以显式地对并发和定时进行建模 提供强有力的文件读写能力 图1-1混合设计层次建模 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果:例如, 事件队列上的事件顺序在标准中没有定义 习题 1. Verilog hdl是在哪一年首次被IEEE标准化的? 2.Ⅴ erilog HDl支持哪三种基本描述方式? 3.可以使用 Verilog Hdl描述一个设计的时序吗?• 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。 • 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过 程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和 模块实例语句描述建模。 • Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件 间的物理连线,而寄存器类型表示抽象的数据存储元件。 • 能够描述层次设计,可使用模块实例结构描述任何层次。 • 设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。 • Verilog HDL不再是某些公司的专有语言而是 I E E E标准。 • 人和机器都可阅读 Verilog 语言,因此它可作为 E D A的工具和设计者之间的交互语 言。 • Verilog HDL语言的描述能力能够通过使用编程语言接口( P L I)机制进一步扩展。 P L I 是允许外部函数访问Verilog 模块内信息、允许设计者与模拟器交互的例程集合。 • 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级( RT L)到算法级, 包括进程和队列级。 • 能够使用内置开关级原语在开关级对设计完整建模。 • 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。 • Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和 显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。 • 在行为级描述中, Verilog HDL不仅能够在RT L级上进行设计描述,而且能够在体系结 构级描述及其算法级行为上进行设计描述。 • 能够使用门和模块实例化语句在结构级进行结构描述。 • 图1 - 1显示了Verilog HDL 的混合方式建 模能力,即在一个设计中每个模块均可 以在不同设计层次上建模。 • Verilog HDL 还具有内置逻辑函数,例 如&(按位与)和|(按位或)。 • 对高级编程语言结构,例如条件语句、 情况语句和循环语句,语言中都可以使 用。 • 可以显式地对并发和定时进行建模。 • 提供强有力的文件读写能力。 • 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果;例如, 事件队列上的事件顺序在标准中没有定义。 习题 1. Verilog HDL 是在哪一年首次被I E E E标准化的? 2. Verilog HDL支持哪三种基本描述方式? 3. 可以使用Verilog HDL描述一个设计的时序吗? 2 Verilog HDL 硬件描述语言 下载 图1-1 混合设计层次建模 开关 门 门 RTL 开关 算法
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有