正在加载图片...
SEND 第4章常用工具软件操作指南 【例4.1.1】4位二进制并行加法器的源程序 ADDER4BVHD。 LIBRARY IEEE USE IEEE STD LOGIC 1164ALL: USE IEEESTD LOGIC UNSIGNED.ALL ENTITY ADDER4BIS-4位二进制并行加法器 PORT(CIN: IN STD LOGIO;-低位进位 AN:Ⅰ N STD LOGIC VECTOR(3 DOWNTO0);-4位加数 BIN:Ⅰ N STD LOGIC VECTOR(3 DOWNTO0);-4位被加数 S: OUT STD LOGIC VECTOR(3 DOWNTO0;-4位和 CONT: OUT STD LOGIC);-进位输出 END ADDER4B第4章 常用EDA工具软件操作指南 【例4.1.1】4位二进制并行加法器的源程序ADDER4B.VHD。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ADDER4B IS --4位二进制并行加法器 PORT(CIN:IN STD_LOGIC; --低位进位 AIN: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --4位加数 BIN: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --4位被加数 S: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --4位和 CONT: OUT STD_LOGIC); --进位输出 END ADDER4B;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有