正在加载图片...
Entity(实体) 口Enty定义语法 Entity <entity name> Is generic declarations port declarations End <Entity name> 日 Entity example Entity adder is generic( data width: integer: =4); add a, add b: in std logic vector(data width-1 downto 0) sum: out std logic vector(data width downto 0)) End adderEntity(实体) ❑ Entity定义语法 Entity <Entity_name> is generic declarations port declarations End <Entity_name>; ❑ Entity Example Entity adder is generic(data_width:integer:=4 ); port( add_a, add_b: in std_logic_vector(data_width-1 downto 0); sum: out std_logic_vector(data_width downto 0) ); End adder;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有