正在加载图片...
◆分秒计数器模为60,时计数模为12。 ◆级联计数器对秒脉冲同步计数。当秒计达 59时,其0输出1,使能分计数器。当 分、秒计数器均达59时,二计数器的0c 均为1,经与门使能时计数器。 ◆加载使能可预置各计数器的初值。 ◆各计数器均为2位二一十进制码输出并 七段译码显示。 ◆模60计数器构成: 二片相同二一十同步计数器级联; 个位直接二一十计数; 十位用二一十构成模6计数。 十位 个位 QD QcQBQA QDQCQBQA RC模6 L RC模10L 时钟 加载 预置 预置 0-59,同步加计数。 59时,个位0和十位Qa、0c为1,与门输 出为1,经倒相激活十位同步清0,下一个时钟,◆分秒计数器模为 60,时计数模为 12。 ◆级联计数器对秒脉冲同步计数。当秒计达 59 时,其 Oc 输出 1,使能分计数器。当 分、秒计数器均达 59 时,二计数器的 Oc 均为 1,经与门使能时计数器。 ◆加载使能可预置各计数器的初值。 ◆各计数器均为 2 位二-十进制码输出并 七段译码显示。 ◆模 60 计数器构成: 二片相同二-十同步计数器级联; 个位直接二-十计数; 十位用二-十构成模 6 计数。 0-59,同步加计数。 59 时,个位 Oc 和十位 Qa、Qc 为 1,与门输 出为 1,经倒相激活十位同步清 0 ,下一个时钟, 时钟 加载 1 预置 预置 Oc EN R C L QDQCQBQA 十位 Oc EN R C L QDQCQBQA 个位 模 6 模 10 Oc 4 4
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有