正在加载图片...
ⅤHDL程序结构 口1、USE定义区 ☆ Library-—定义所使用的元件库 Pack 定义所使用的元件库中的包 口2、Enty定义区:定义电路实体的ⅣO接口规格 口3、 Architecturel定义区:描述电路内部具体功能 令 Component定义区 信号定义 令行为描述/数据流描述/结构描述 ( guration定义区:决定使用哪一个 architecture 口4、 ConfiVHDL程序结构 ❑ 1、USE定义区 ❖ Library——定义所使用的元件库 ❖ Package——定义所使用的元件库中的包 ❑ 2、Entity定义区:定义电路实体的I/O接口规格 ❑ 3、Architecture定义区:描述电路内部具体功能 ❖ Component定义区 ❖ 信号定义 ❖ 行为描述/数据流描述/结构描述 ❑ 4、Configuration定义区:决定使用哪一个architecture (非必须)
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有