正在加载图片...
实体声明定义了设计实体与外部的接口 结构: ENTITY identifier IS entity header (generic and/or port clauses) entity declarative part (declarations for subprograms types signa BEGIN entity statement part END identifier实体声明定义了设计实体与外部的接口 结构: ENTITY identifier IS entity_header --(generic and/or port clauses) entity_declarative_part --(declarations for subprograms, -- types, signals, ……) BEGIN entity_statement_part END identifier ;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有