正在加载图片...
简单代码结构: LOG|C与ARCH| TECTURE 11. ARCHITECTURE bhy OF DFF1 IS 12. SIGNAL Q1 STD LOGIC BEGIN PROCESS (CLK) BEGIN IF CLKEVENT AND CLK=1 THEN Q1 =D why don't useQ <=D?? END F Q<=Q1; END PROCESS 21. END ARCHITECTURE bhy简单代码结构: LOGIC 与 ARCHITECTURE 11. ARCHITECTURE bhv OF DFF1 IS 12. SIGNAL Q1 : STD_LOGIC; 13. BEGIN 14. PROCESS ( CLK ) 15. BEGIN 16. IF CLK'EVENT AND CLK = '1' THEN 17. Q1 <= D; -- why don't use "Q <=D" ?? 18. END IF; 19. Q<=Q1; 20. END PROCESS; 21. END ARCHITECTURE bhv;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有