正在加载图片...
"olll"when"0100","1000"when"0101", 1001"when0110""1010" when0111 "10ll"when"l000°,"1100"when"1001", 1111"when others end rtl BCD码七段静态LED译码器 输入4位BCD码,产生7个输出,分别驱动相应显示器件; p26l图5-44 考虑7段输出与数字的对应关系,可以得出如下关系 abcdefg 0:11111101:01100002:11011013:1111001 BCDSEG7 data[3.01 6·· library ieee use ieee std logic 1164.all port( data: in std logic vector(3 downto 0); y: out std logic vector(6 downto O)); end bcdseg7 architecture d of bcdseg7 is y<="lllo” when data="0000°else " 0110000" when data=0001"else 1101101" when data=0010"else 1111001"when data="0011"else"0111" when "0100", "1000" when "0101", "1001" when "0110", "1010" when "0111", "1011" when "1000", "1100" when "1001", "1111" when others; end rtl; BCD 码-七段静态 LED 译码器 输入 4 位 BCD 码,产生 7 个输出,分别驱动相应显示器件; p.261 图 5-44 考虑 7 段输出与数字的对应关系,可以得出如下关系: abcdefg 0: 1111110 1: 0110000 2:1101101 3:1111001 library ieee; use ieee.std_logic_1164.all; entity bcdseg7 is port(data: in std_logic_vector(3 downto 0); y: out std_logic_vector(6 downto 0)); end bcdseg7; architecture d of bcdseg7 is begin y<="1111110" when data="0000" else "0110000" when data="0001" else "1101101" when data="0010" else "1111001" when data="0011" else
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有