正在加载图片...
●程序包调用的方法: (1)将程序包与实体文件合并于一个VHDL文件中,如 library ieee; use ieee std_logic_1164. all package example is end exampl des package body examples end max library ieee use ieee std _ logic_1164. all; use work. example. all; entity max-value 1s d architecture one of max value is end one,⚫程序包调用的方法: (1)将程序包与实体文件合并于一个VHDL文件中,如: library ieee; use ieee.std_logic_1164.all; package example is … end example; package body example is … end max; library ieee; use ieee.std_logic_1164.all; use work.example.all; entity max_value is … end max_value; architecture one of max_value is … end one;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有