正在加载图片...
812约束 约束的目的:有效的控制优化输出和映射工艺 约束内容:门级电路所占用的面积范围、延迟、功耗和可测性等 81.3属性 属性的作用:对设计的执行环境进行规定。 属性内容:负载、驱动、到达时间等 814工艺庳 工艺库中含有综合过程为建立设计作正确选择的全部信息,如含有ASIC单元 的逻辑功能、含有该单元的面积范围、输入到输出的定时关系等 82综合过程 采用 processing/stat/ start analysis synthesis 或 processing/star/ start analysis& elaboration指令进行分析和综合 ●采用 Tools/ netlist viewer/ RTLviewer 或Tol!/ netlist viewer/ Technology map viewer查看原理图8.1.2 约束 约束的目的:有效的控制优化输出和映射工艺 约束内容:门级电路所占用的面积范围、延迟、功耗和可测性等 8.1.3 属性 属性的作用:对设计的执行环境进行规定。 属性内容:负载、驱动、到达时间等 8.1.4 工艺库 工艺库中含有综合过程为建立设计作正确选择的全部信息,如含有ASIC单元 的逻辑功能、含有该单元的面积范围、输入到输出的定时关系等 8.2 综合过程 ⚫采用processing/start/start analysis & synthesis 或processing/start/start analysis & elaboration指令进行分析和综合 ⚫采用Tools/netlist viewer/RTL viewer 或Tools/netlist viewer/Technology map viewer查看原理图
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有