正在加载图片...
libraryieee; use ieee std_ logic_1164.all; use ieee std_logic_ 1164. std_ logic; ˇ库说明语句的作用范围:从一个实体说明开始到它所隶属的结构体、配置为止,或 从一个程序包的说明开始到该程序包的定义结束为止。 当一个VHDL程序出现两个或两个以上的实体或程序包时,作为使用库的说明语句 应该在每个实体说明语句和包说明语句前重复书写。 library ieee; use ieee std logic 1164.all; entity andris end and2. architecture rtl of and2 is d rt1 rary ieee use ieeestd_ logic_1164. all; entity oris d or2 architecture rt1 ofor2is end rt1如: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_1164.std_logic; ✓库说明语句的作用范围:从一个实体说明开始到它所隶属的结构体、配置为止,或 从一个程序包的说明开始到该程序包的定义结束为止。 ✓当一个VHDL程序出现两个或两个以上的实体或程序包时,作为使用库的说明语句 应该在每个实体说明语句和包说明语句前重复书写。 library ieee; use ieee.std_logic_1164.all; entity and2 is …… end and2; architecture rt1 of and2 is …… end rt1; library ieee; use ieee.std_logic_1164.all; entity or2 is …… end or2; architecture rt1 of or2 is …… end rt1;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有