正在加载图片...
实验五A/D采样电路设计 一、实验目的:通过本次实验掌握用VHDL语言设计程序能够通过时序 对ADC0809器件进行控制并进行采集、输出 二、实验要求 1、了解并掌握ADC0809的工作原理。 2、编写相应的程序实现对ADC0809的控制。 3、定义引脚并下载,将ADC0809的转换结果输出到数码管 三、实验步骤: 1、ADCO809的工作时序及原理 START 转换结束 2豆夏吕588合臣吕 ALE EOC ADC0809 222 AC0809是CMoS的8位AD转换器,片内有8路模拟开关,可控制8个模 拟量中的一个进入转换器中。ADC0809的分辨率为8位,转换时间约100us, 含锁存控制的8路多路开关,输出有三态缓冲器控制,单5V电源供电 主要控制信号说明:如上图所示, START是转换启动信号,高电平有效;ALE 是3位通道选择地址(ADDC、ADB、ADDA)信号的锁存信号。当模拟量送至某 一输入端(如IN或IN2等),由3位地址信号选择,而地址信号由ALE锁存; EOC是转换情况状态信号(类似于AD574的 STATUS),当启动转换约100us后, EOC产生一个负脉冲,以示转换结束;在EOC的上升沿后,若使输出使能信号 OE为高电平,则控制打开三态缓冲器,把转换好的8位数据结果输至数据总线。 至此ADC0809的一次转换结束了 、利用ⅥHDL语言输入方法设计程序对ADC0809进行控制 3、利用 MAX+plush进行文本编辑输入和仿真测试;给出仿真波形。最后进 行引脚锁定并进行测试,硬件验证电路对ADCO809的控制功能。 测试步骤:根据附录的模式5,建议引脚锁定为: START接PI034,OE接实验五 A/D 采样电路设计 一、实验目的:通过本次实验掌握用 VHDL 语言设计程序能够通过时序 对 ADC0809 器件进行控制并进行采集、输出。 二、实验要求: 1、 了解并掌握 ADC0809 的工作原理。 2、 编写相应的程序实现对 ADC0809 的控制。 3、 定义引脚并下载,将 ADC0809 的转换结果输出到数码管。 三、实验步骤: 1、ADC0809 的工作时序及原理: ADC0809 是 CMOS 的 8 位 A/D 转换器,片内有 8 路模拟开关,可控制 8 个模 拟量中的一个进入转换器中。ADC0809 的分辨率为 8 位,转换时间约 100us, 含锁存控制的 8 路多路开关,输出有三态缓冲器控制,单 5V 电源供电。 主要控制信号说明:如上图所示,START 是转换启动信号,高电平有效;ALE 是 3 位通道选择地址(ADDC、ADDB、ADDA)信号的锁存信号。当模拟量送至某 一输入端(如 IN1 或 IN2 等),由 3 位地址信号选择,而地址信号由 ALE 锁存; EOC 是转换情况状态信号(类似于 AD574 的 STATUS),当启动转换约 100us 后, EOC 产生一个负脉冲,以示转换结束;在 EOC 的上升沿后,若使输出使能信号 OE 为高电平,则控制打开三态缓冲器,把转换好的 8 位数据结果输至数据总线。 至此 ADC0809 的一次转换结束了。 2、利用 VHDL 语言输入方法设计程序对 ADC0809 进行控制。 3、利用 MAX+plusII 进行文本编辑输入和仿真测试;给出仿真波形。最后进 行引脚锁定并进行测试,硬件验证电路对 ADC0809 的控制功能。 测试步骤:根据附录的模式 5,建议引脚锁定为:START 接 PIO34,OE 接
向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有