正在加载图片...
cin: in std logic vector. s: in std logic vector(2 downto 0); y: out std logic vector(3 downto O)) end kalu 习题: 557对图X5.57的电路(可控24译码器)进行行为设计(采 用进程和case语句); 5.59数据选择器74153的功能表如表5-36所示,写出该电 路的数据流设计;cin : in std_logic_vector; s : in std_logic_vector(2 downto 0); y : out std_logic_vector(3 downto 0)); end kalu; 习题: 5.57 对图 X5.57 的电路(可控 2-4 译码器)进行行为设计(采 用进程和 case 语句); 5.59 数据选择器 74153 的功能表如表 5-36 所示,写出该电 路的数据流设计;
<<向上翻页
©2008-现在 cucdc.com 高等教育资讯网 版权所有