正在加载图片...
port(n: in std logic vector(3 downto 0); f: out std logic) d primes architecture beh of primes is process(n) case conv integer(n)is when12→f<="1' when3s73→f="; when others =>f<='O end process lo0p语句 循环语句,可以反复执行若干顺序语句:每个循环语句 可以加以编号 有限循环(指定循环次数): 编号:for循环变量in范围loop 顺序语句; end loop编号; 循环变量为整数类型,不需要说明;范围的数据类型也 必须为整数 循环变量从范围最左边的值开始;每进行一次循环,指 标右移1位:直到全部循环完毕port (n: in std_logic_vector (3 downto 0); f: out std_logic); end prime8; architecture beh of prime8 is begin process(n) begin case conv_integer(n) is when 1|2 => f<= '1'; when 3|5|7|11|13 => f<= '1'; when others => f<= '0'; end case; end process; end beh; loop 语句 循环语句,可以反复执行若干顺序语句;每个循环语句 可以加以编号; 有限循环(指定循环次数): 编号:for 循环变量 in 范围 loop 顺序语句; end loop 编号; 循环变量为整数类型,不需要说明;范围的数据类型也 必须为整数; 循环变量从范围最左边的值开始;每进行一次循环,指 标右移 1 位;直到全部循环完毕;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有