正在加载图片...
包集合: package 用于保存VHDL语言中经常使用的类型定义 信号定义、常数定义、元件定义、函数定义和 过程定义等(类似于C语言中的 include语 句),方便不同模块的设计中公共定义的共享 包集合在使用前必须采用use语句进行说明 (在设计程序的最前面) 包集合内容可以由用户自行定义包集合: package 用于保存VHDL语言中经常使用的类型定义、 信号定义、常数定义、元件定义、函数定义和 过程定义等(类似于C语言中的include语 句),方便不同模块的设计中公共定义的共享; 包集合在使用前必须采用use语句进行说明 (在设计程序的最前面); 包集合内容可以由用户自行定义;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有