正在加载图片...
赵霞等:操作系统电源管理研究进展 [12] D Helmbold, D Long, E Sherrod. Dynamic disk spin-down [25] F Yao, A Demers, S Shenker, A scheduling model for echnique for mobile computing [C]. In: Proc of IEEE Cor reduced CPU energy [C]. In: Proc of IEEE Annual Los Alamitos: IEEE Computer Foundations of Computer Science. Los Alamitos: IEEE Society Press, 1996. 130-142 mputer Society Press, 1995. 374-3 [13] Wu Qi, Xiong Guangze. Adaptive dynamic power [26] w Kwon, T Kim. Optimal voltage allocation techniques for management for non-stationary self-similar requests dynamically variable voltage processors [C]. In: Proc of the Journal of Software, 2005, 16(8)1 1499-1505(in Chinese) 40th Conf on Design Automation. New York: ACM Press (吴琦,熊光泽,非平稳自相似业务下自适应动态功耗管理 [].软件学报,2005,16(8):1499-1505) [27] R Jejurikar, R K Gupta. Energy aware EDF scheduling with [14] M Srivastava, A Chandrakasan, R Brodersen. Predictive task synchronization for embedded real time systems [R]. system shutdown and other architectural techniques University of California at Irvine, Tech Rep: 02-24, 2002 energy efficient programmable computation [J]. IEEE Trans [28] P Pillai, K G Shin. Real-time dynamic voltage scaling for on VLSI System, Los Alamitos: IEEE Computer Society [C]. In: Proc of the Operating Systems Principles. New York [15] C- Hwang, A Wu. A predictive system shutdown method ACM Press, 2001 for energy saving of event-driven computation [C]. In: Proc [29] w Yuan, K Nahrstedta, s v Advea, et al. Design and of Int'l Conf on Computer Aided Design. Los Alamitos: valuation of a cross-layer adaptation framework for mobile IEEE Computer Society Press, 1997. 28-32 multimedia systems [C]. In: Proc of SPIE/ACM Multimedia [16] Tang Zhifang, Shi Haitao, Lu Huaxiang, et al. System-level Computing and Networking Conference MMCN power management algorithm based on BP neural network Computer Engineering, 2006, 32(4): 214-216(in [30] K Lautner, R Uhlig, S Reinhardt, et al. Thread-level Chinese) allelism and interactive performance desktop (唐志芳,时海涛,鲁华样,等.基于BP神经网络的系统级 applications [C]. In: Proc of Conf on Architectural Support 电源管理算法[J].计算机工程,2006,32(4)1214-216) et aL.Dynamic rogramming Languages and Operating Systems management for nonstationary service requests [C]. In: Proc (ASPLOS-IX). New York: ACM Press, 2000. 129-138 [31] K Flac of Design and Test in Europe Conf. Los Alamitos: IEEE setting for Linux. [C]. In: Proc of the Ist USENIX Symp on Computer Society Press, 1999. 77-81 [18] R Gonzalez, B M Gordon, M Horowitz. Supply and hreshold voltage scaling for low power CMOS [J]. IEEE Francisco: USENIX Association Press, 2002. 105-116 Journal of solid-State Circu 32(8):1210-1216 [32] J R Lorch. Operating System Modifications for Task-Based [19] M Weiser, B Welch, A Demers, et al, Scheduling for Speed and Voltage Scheduling [C]. In: Proc of the 1st Int'I luce CPU energy [C]. In: Proc Ist Symp on Conf on Mobile System Applications and Services( Mobi Operating Systems Design and Implementation. San New York, ACM Press, 2003, 215-229 Francisco: USENIX Association Press [33] J R Lorch, A Smith. Software strategies for portable [20] M Weiser, B Welch, A Demers, et al. Scheduling for omputer energy management [J]. IEEE Persona educed CPU energy [C]. The 1st Symp on Operating Communications, 1998, 5(3): 60-73 Systems Design and Implementation OSDI), Monterey [34 D grunwald, P Levis, K Farkas, et al. Policies for dynamic CA,19 clock scheduling [C]. In: Proc of the 4th Symp on Operating [21] Systems Design &Implementation. San Francisco: USENIX dynamic speed-setting of a low-power CPU [C]. In: Proc of Association Press, 2000, 6-6 Mobile Computing and Net [35] S Kang, YCHo al. Sky instruction simulator with energy awareness [C]. In: Proc of [22]T Pe the 1 st Int'I Conf on Embedded Software and System. IpARM microprocessor system [C]. In: Proc of IEEE Int'l Berlin: Springer-Verlag, 2005 Symp on Low Power Electronics and Design, Los alamin [36 R Neugebauer, D Mcauley. Energy is just another IEEE Computer Society Press, 2000. 96-101 energy accounting and energy pricing in the nemesis OS[C] [23] J R Lorch. PACE, A new approach to dynamic voltage In: Proc of the 8th IEEE Workshop on Hot Topics in aling [J]. IEEE Trans on Computers, 2004, 53(7): 856- Operating Systems HotOS VIII). Los Alamitos: IEEE Computer Society Press, 2001 [24]T Pering, T Burd, R Brodersen. The simulation an [37] A Vahdat, A Lebeck, C Ellis. Every joule is precious: The evaluation of dynamic voltage scaling algorithms [C]. In case for revisiting operating system design for energy Proc of IEEE Int'I Symp on Low Power Electronics an ficiency [Cl. In: Proc of the 9th ACM SIGOPS European Design, Los Alamitos: IEEE Computer Society Press, 1998 Workshop. New York ACM Press, 2000. 31-36赵 霞 等 :操 作 系 统 电 源 管 理 研 究 进 展 823 [12] DHelmbold,D Long,ESherrod.Dynamicdiskspin—down techniqueformobilecomputing[c].In:ProcofIEEEConf on M obile Computing. Los Alamitos: IEEE Com puter SocietyPress,1996. 130—142 [13] Wu Qi, Xiong Guangze. Adaptive dynamic power managementfor non-stationary self—similar requests[J]. JournalofSoftware,2005,16(8):1499—1505(inChinese) (吴琦 ,熊光泽.非平稳 自相似业 务下 自适应动 态功 耗管理 [J].软件学报 ,2005,16(8):1499—1505) r14] M Srivastava,A Chandrakasan,R Brodersen.Predictive system shutdown and other architectural techniques for energyefficientprogrammablecomputation[J].IEEETrans on VLSI System , Los Alamitos: IEEE Computer Society Press,1996,4(1):42—55 [15] C—Hwang,A Wu.A predictivesystem shutdownmethod forenergysavingofevent—drivencomputation[c].In:Proc of Int’lConf on Com puter-Aided Design. Los Alam itos: IEEE ComputerSociety Press,1997.28—32 [16] TangZhifang,ShiHaitao,LuHuaxiang,eta1.System—level powerm anagementalgorithm based on BP neuralnetwork [J].ComputerEngineering,2006,32(4):214—216 (in Chinese) (唐志芳 ,时海涛,鲁华祥 ,等.基 于 BP神经 网络的系统 级 电源管理算法[J].计算机工程 ,2006,32(4):214-216) [17] E Chung,L Benini,A Bogliolo,eta1. Dynamicpower managementfornonstationaryservicerequests[c].In:Proc ofDesign and Testin Europe Conf. Los Alamitos: IEEE Com puterSociety Press,1999.77—81 [18] R Gonzalez, B M Gordon, M Horowitz. Supply and thresholdvoltagescalingforlow powerCMOS[J].IEEE JournalofSolid—StateCircuits,1997,32(8):1210-1216 [19] M Weiser,B Welch,A Demers,eta1.Scheduling for reducedCPU energy [c]. In:Procofthe 1stSyrupon Operating Systems Design and Im plem entation. San Francisco:U SENIX Association Press,1994. 13-23 [2O] M Weiser,B Welch,A Demers,eta1.Scheduling for reduced CPU energy [c]. The 1stSymp on Operating Systems Design and Im plementation (OSDI), M onterey, CA 。1994 [21] K Govil,EChan,H Wasserman.Comparingalgorithmsfor dynamicspeed—settingofalow—powerCPU[c].In:Procof the 1st Int’lConfon M obile Com puting and Networking. New York:ACM Press,1995 [22] TPering,T Burd,R Brodersen.Voltageschedulinginthe IpARM microprocessorsystem [c].In:ProcofIEEE Int’l Sym pon Low PowerElectronicsand Design. LosAlamitos: IEEE ComputerSociety Press,2000. 96—101 [23] JR Lorch.PACE:A new approach todynamic voltage scaling.[J].IEEETransonComputers,2004,53(7):856— 869 [24] T Pering, T Burd,R Brodersen. The simulation and evaluationofdynamicvoltagescalingalgorithms[c]. In: Proc of IEEE Int’lSym p on Low Power Electronics and Design.LosA lamitos:IEEE ComputerSociety Press,1998 [25] [26] [27] [28] [29] [3O] [31] [32] [33] [34] [35] [36] [373 F Yao, A Dem ers, S Shenker. A scheduling model for reduced CPU energy [c]. In: Proc ofIEEE Annual Foundations of Computer Science. Los Alamitos: IEEE Com puterSocietyPress,1995. 374—382 W Kwon,T Kim . Optim alvoltageallocation techniquesfor dynamicallyvariablevoltageprocessors[c].In:Procofthe 40th Confon Design A utomation. New York:ACM Press, 2003 R J~urikar,R K Gupta.EnergyawareEDFschedulingwith tasksynchronizationforembeddedrealtimesystems[R]. U niversity ofCaliforniaatIrvine,Tech Rep:02—24,2002 P Pillai, K G Shin. Real—tim e dynamic voltage scaling for low—powerembeddedoperatingsystems[c].In:Procofthe 18th Symp on Operating Systems Principles. New York: ACM Press,2001. 89—102 W Yuan, K Nahrstedta, S V Advea, et a1. Design and evaluation ofa cross—layeradaptation fram ework for mobile multimediasystems[c].In:ProcofSPIE/ACM Multimedia Com puting and Networking Conference (M M CN ). New York:ACM Press,2003 K Flautner, R Uhlig, S Reinhardt, et a1. Thread—level parallelism and interactive perform ance of desktop applications[c].In:ProcofConfonArchitecturalSupport for Programming Languages and Operating Systems (ASPLOS—IX). New York:ACM Press,2000. 129-138 K Flautner, T Mudge. Vertigo: Autom atic performance— settingforLinux.[c].In:Procofthe1stUSENIXSympon Operating SystemsDesign and Im plementation (OSDI). San Francisco:USENIX A ssociationPress,2002. 105—116 JR Lorch.Operating System ModificationsforTask-Based SpeedandVoltageScheduling[c].In:Procofthe1stInt’1 ConfonM obileSystem ApplicationsandServices(M obisys), New York:ACM Press,2003.215-229 J R Lorch, A Smith. So ftware strategies for portable computer energy management [J]. IEEE Personal Comm unications,1998,5(3):6O一73 D grunwald,P Levis,K Farkas,eta1. Policiesfordynamic clockscheduling[c].In:Procofthe4thSymponOperating System sDesign & Im plem entation.San Francisco:USENIX AssociationPress,2000. 6-6 S Kang,Y C H uayong W ang,X W ang,eta1. Skyeye:An instructionsimulatorwithenergyawareness[c].In:Procof the 1st Int’1 Conf on Embedded Software and System. Berlin:Springer-Verlag,2005 R Neugebauer,D Mcauley.Energyisjustanotherresource: energyaccountingandenergypricinginthenemesisOS[c]. In: Proc of the 8th IEEE W orkshop on Hot Topics in Operating System s (H otOS-VlII). Los Alamitos: IEEE Com puterSociety Press,2001 A Vahdat,A Lebeck,C Ellis.Everyjouleisprecious:The case for revisiting operating system design for energy efficiency[c].In:Procofthe9thACM SIGOPSEuropean W orkshop.New York:ACM Press,2000. 31—36 维普资讯 http://www.cqvip.com
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有