正在加载图片...
ieee库中的重要包集合 std_logic_1164: 定义了 std_logic数据类型及相应运算 std_logic_arith:定义了 signed和 unsigned数据类型、相应运算和相关类型转 换函数; std_logic_-signedsstd_logic_unsigned 定义了一坐函数,可以使 std_logic_vector类 型被当作符号数或无符号数一样进行运算。ieee库中的重要包集合 std_logic_1164: 定义了std_logic数据类型及相应运算; std_logic_arith:定义了signed和 unsigned数据类型、相应运算和相关类型转 换函数; std_logic_signed与std_logic_unsigned: 定义了一些函数,可以使std_logic_vector类 型被当作符号数或无符号数一样进行运算
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有