正在加载图片...
EDA技术讲义 例】用VHDL设计一家用告警系统的控制逻辑,它有来 自传感器的三个输入信号 smoke、door、 water和准备传输 到告警设备的三个输出触发信号 fire alarm、 burg alarm、 water alarm以及使能信号en和 alarm en VHDL程序描述如下: LIBRARY ieee; USE ieee std logic 1164. all; ENTITY alarm s PORT(smoke, door, water: IN std logic; en,alarm en: IN std logic; fire alarm, burg alarm, water alarm: oUT std logic); END alarm: architecture alarm arc of alarm s BEGINEDA技术讲义 【例】用VHDL设计一家用告警系统的控制逻辑,它有来 自传感器的三个输入信号smoke、door、water和准备传输 到告警设备的三个输出触发信号fire_alarm、burg_alarm、 water_alarm以及使能信号en和alarm_en。 VHDL程序描述如下: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY alarm IS PORT(smoke,door,water:IN std_logic; en,alarm_en :IN std_logic; fire_alarm,burg_alarm,water_alarm:OUT std_logic); END alarm; ARCHITECTURE alarm_arc OF alarm IS BEGIN
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有