正在加载图片...
●文本输入法 Sipo clk-时钟 dout4…0 cl-清零端 din-数据输入端 kdn dout[4Q-数据输出端 带有同步清零的5位串入并出 移位寄存器的电路符号 library ieee; use ieeestd_logic_1164. all; Useieee. std _logicunsigned. all; entity sipo 1s port(ck, din, clr: in std_ logic, dout: out std_ logic_vector(4 downto O) end sipo;带有同步清零的5位串入/并出 移位寄存器的电路符号 sipo clk dout[4…0] clr clk –时钟 clr – 清零端 dout[4…0] – 数据输出端 din din- 数据输入端 library ieee; use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; entity sipo is port(clk, din, clr: in std_logic; dout: out std_logic_vector(4 downto 0)); end sipo; ⚫文本输入法
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有