正在加载图片...
★位连接的不同表示方法: 定义信号: signal a, b, c: std_logi signal q: std_ logic_vector(2 downto O); (1)直接连接 q<= a& b& c, (2)聚合连接 q<=(a, 也可采用指定位的脚标来进行位的连接,如: q<=(2=>a,1=>b,0=>0); 3.4.5运算符的优先级 优先级顺序 运算操作符 * not abs mod rem (正号)负号) +(加)-(减)& sI srl sla sra rol ror /<<=>> and or nand nor xor xnor★位连接的不同表示方法: 定义信号: signal a, b, c: std_logic; signal q: std_logic_vector(2 downto 0); (1)直接连接 q <= a & b & c; (2) 聚合连接 q <=(a, b, c); 也可采用指定位的脚标来进行位的连接,如: q <= (2=>a, 1 =>b, 0=>c); 3.4.5 运算符的优先级 优先级顺序 运算操作符 ** not abs * / mod rem +(正号) -(负号) +(加) -(减) & sll srl sla sra rol ror = /= < <= > >= and or nand nor xor xnor
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有