正在加载图片...
进程的变量赋值设计实例 例:2-4译码器的进程描述 architecture d of kdec24 is egIn process(a) begin f(0)<=not a (1and not a(0); f(1)<=not a(1)and a(0) f(2)<=a(1)and not a(0); f(3)<=a(1)anda(0); end process; end d进程的变量赋值设计实例 例:2-4译码器的进程描述 architecture d of kdec24 is begin process(a) begin f(0) <= not a(1) and not a(0); f(1) <= not a(1) and a(0); f(2) <= a(1) and not a(0); f(3) <= a(1) and a(0); end process; end d;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有