正在加载图片...
VHDL中的结构设计:元件说明 component元件名 port(信号名:模式信号类型; ●●●●●● 信号名:模式信号类型) end component i 要点: 所用的电路实体应在Work库或已说明的库中 模块名称和对应端口名称顺序应完全一致VHDL中的结构设计:元件说明 component 元件名 port(信号名:模式 信号类型; ……. 信号名:模式 信号类型); end component; 要点: 所用的电路实体应在work库或已说明的库中; 模块名称和对应端口名称顺序应完全一致;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有