正在加载图片...
信号名:模式信号类型); end component, 要点 元件说明与电路实体说明的编写方式非常相似 模块名称和对应端口名称应完全一致:其端口排列顺序也应 该完全一致 所用的电路实体应在work库或已说明的库中; 例:已有元件的程序为 use ieee std logic 1164. all entity kand port(a, b: in std logic y: out std logic) end kand architecture d of kand y<=a and b; end d: 元件说明语句为 component kand port(a, b: in std logic y: out std logic) end component……. 信号名:模式 信号类型); end component; 要点: 元件说明与电路实体说明的编写方式非常相似; 模块名称和对应端口名称应完全一致;其端口排列顺序也应 该完全一致; 所用的电路实体应在 work 库或已说明的库中; 例:已有元件的程序为 library ieee; use ieee.std_logic_1164.all; entity kand2 is port(a, b: in std_logic; y: out std_logic); end kand2; architecture d of kand2 is begin y <= a and b; end d; 元件说明语句为: component kand2 port(a, b: in std_logic; y: out std_logic); end component;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有