正在加载图片...
例 exam nple程序包 library ieee use ieee std_logic_1164.al; package example is function max(i1 i2: std_logic_vector)return std_logic_vector; function max i1 i2: bit_vector return bit_vector; function max(i1, i2: integer)return integer; end example package body example is function max(i1 12: std_logic_vector) return std_logic_vector is variable tmp: std_logic_vector(il range) begin if i1>i2 then tmp: =il; else tmp endif retutn (tm end maxlibrary ieee; use ieee.std_logic_1164.all; package example is function max(i1,i2:std_logic_vector) return std_logic_vector; function max(i1,i2:bit_vector) return bit_vector; function max(i1,i2:integer) return integer; end example; package body example is function max(i1,i2:std_logic_vector) return std_logic_vector is variable tmp:std_logic_vector(i1’range); begin if i1>i2 then tmp:=i1; else tmp:=i2; end if; return (tmp); end max; 例:example程序包
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有