正在加载图片...
条件赋值语句: 信号名<=表达式1when布尔表达式else 表达式 n when布尔表达式 n else 表达式(n+1); 要点: 可以用于表达信号的控制传输;通常采用多个2选1数 据选择器形成串接形式 布尔表达式采用的运算只能是关系运算,结果为“tue” 时执行when之前的表达式,结果为“ false”的时候执行else之 后的语句 <=既是赋值符号,又是小于等于符号,在使用时应注意 场合和意义 例:4选1数据选择器(采用条件赋值语句 library ieee use ieee std logic 1164. all IS port(a: in std logic vector(I downto 0) d: in std logic vector(3 downto 0) f: out std logic); end klux 4 1 architecture d of kmux4 1 is be f<=d(o) when a=00"else条件赋值语句: 信号名<=表达式 1 when 布尔表达式 1 else …… 表达式 n when 布尔表达式 n else 表达式(n+1); 要点: 可以用于表达信号的控制传输;通常采用多个 2 选 1 数 据选择器形成串接形式; 布尔表达式采用的运算只能是关系运算,结果为“true” 时执行 when 之前的表达式,结果为“false”的时候执行 else 之 后的语句; <= 既是赋值符号,又是小于等于符号,在使用时应注意 场合和意义; 例:4 选 1 数据选择器(采用条件赋值语句) library ieee; use ieee.std_logic_1164.all; entity kmux4_1 is port (a: in std_logic_vector (1 downto 0); d: in std_logic_vector (3 downto 0); f: out std_logic); end kmux4_1; architecture d of kmux4_1 is begin f<= d(0) when a="00" else
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有