正在加载图片...
包集合的详细定义 library ieee: use ieeestd logic 1164. all packge upac is constant k: integer: =4; subtype cpu bus is std logic vector(k-Idownto O); function conv integer (x: Std logic vector) return integer; end upac;包集合的详细定义 library ieee; use ieee.std_logic_1164.all; packge upac is constant k: integer := 4; subtype cpu_bus is std_logic_vector(k-1downto 0); function conv_integer (x:std_logic_vector) return integer; end upac;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有