正在加载图片...
例:采用原理图编辑法和文本编辑法设计四输入与非门电路 文本编辑法 libraryieee useieee. std_logic_1164.all entity and 4 is inst port(a, b, c, d in std _logic g: out std_logic end and 4: architecture dataflow of and 4is signal g1, 92: std_logic, 结构体说明语句 begin labell: block [块标号: Block[保护表达式 egin [类属子句[类属接口表;] q1<=a and b; 端口子句[端口接口表;];] g2 <=c and d; [块说明部分;] q<=q1 and g2; egin end blocklabel1 块语句部分; end dataflow: end block[块标号];例:采用原理图编辑法和文本编辑法设计四输入与非门电路 AND4 inst 文本编辑法 library ieee; use ieee.std_logic_1164.all; entity and_4 is port(a,b,c,d:in std_logic; q: out std_logic); end and_4; architecture dataflow of and_4 is signal q1,q2: std_logic; --结构体说明语句 begin label1: block begin q1<= a and b; q2 <= c and d; q <= q1 and q2; end block label1; end dataflow; [块标号:]block[保护表达式] [类属子句[类属接口表;];] [端口子句[端口接口表;];] [块说明部分;] begin 块语句部分; end block [块标号];
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有