正在加载图片...
若要作为信号,则应在参量名前加注 signal 3在主程序中,函数调用通常在表达式中,过程调用通常在 语句中:调用结束时,输出量将赋值给调用时指定的信号或 变量 4过程内部可以定义局部使用的类型、常量、变量、函数、 过程,不能定义信号 5过程可以进行并行调用,也可以进行顺序调用:并行过 程的参量应该为信号量:顺序过程的参量为变量,可以利用 顺序赋值语句将输出结果传递给信号」 例 inhibit I门z=x·y(过程的定义和顺序调用 library ieee use ieee std logic 1164. all; entity butnotl port(x,y: in std logic z: out std logic); end butnotl architecture str of butnot l is procedure kinvl(a: in std logic, f: out std logic)is f = not a end kiny l procedure kand21(a, b: in std logic; f: out std logic)is若要作为信号,则应在参量名前加注 signal 3 在主程序中,函数调用通常在表达式中,过程调用通常在 语句中;调用结束时,输出量将赋值给调用时指定的信号或 变量; 4 过程内部可以定义局部使用的类型、常量、变量、函数、 过程,不能定义信号; 5 过程可以进行并行调用,也可以进行顺序调用;并行过 程的参量应该为信号量;顺序过程的参量为变量,可以利用 顺序赋值语句将输出结果传递给信号量; 例 inhibit 门 ⋅= yxz (过程的定义和顺序调用) library ieee; use ieee.std_logic_1164.all; entity butnot1 is port (x,y: in std_logic; z: out std_logic); end butnot1; architecture str of butnot1 is procedure kinv1(a: in std_logic; f: out std_logic) is begin f := not a; end kinv1; procedure kand21(a,b: in std_logic; f: out std_logic) is begin
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有