正在加载图片...
例:采用原理图编辑法和文本编辑法设计二输入异或门电路 二输入异或门的真值表 输入 输出 NOR A inst 0011 B0101 Y0110 文本编辑法 library ieee useieee. std_ logic 1164.all entity xor 2is port(a, b: in std_logic; c:out std_logic) end xor 2: architecture cai of xor 2 is begin C<=a xor b end cal;例:采用原理图编辑法和文本编辑法设计二输入异或门电路 NOR2 inst 二输入异或门的真值表 输 入 输 出 A B Y 0 0 0 0 1 1 1 0 1 1 1 0 文本编辑法 library ieee; use ieee.std_logic_1164.all; entity xor_2 is port(a,b:in std_logic; c: out std_logic); end xor_2; architecture cai of xor_2 is begin c<=a xor b; end cai;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有