正在加载图片...
例:采用原理图编辑法和文本编辑法设计二输入与非门电路 二输入与非门的真值表 输入 输出 NAND2 A 0011 B0101 1110 文本编辑法 library ieee; use ieee std_logic_1164.all; entity nand 2is port(a, b: in std_logic, c:out std_logic) end nand 2 architecture cai of nand 2 is begin c<=anand b: end cai:例:采用原理图编辑法和文本编辑法设计二输入与非门电路 NAND2 inst 二输入与非门的真值表 输 入 输 出 A B Y 0 0 1 0 1 1 1 0 1 1 1 0 文本编辑法 library ieee; use ieee.std_logic_1164.all; entity nand_2 is port(a,b:in std_logic; c: out std_logic); end nand_2; architecture cai of nand_2 is begin c<=a nand b; end cai;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有