正在加载图片...
数据比较器参考程序: LIBRARY IEEE USE IEEE STD LOGIC 1164.ALL: USE IEEE STD LOGIC UNSIGNEDALL. ENTITY a3 IS PORT(A: IN STD LOGIC VECTOR(3 DOWNTO O); B: IN STD LOGIC VECTOR(3 DOWNTO 0) WDT OUT STD LOGIC END a3. ARCHITECTURE A OF a3 IS SIGNAL Q1, Q2: STD LOGIC VECTOR(3 DOWNTO O), ROCESS(Q1, Q2) BEGIN IF A>B THEN W<=1 D<=0:T<=O ELSIF A=B THEN W<=0, D<=l;T<=0; ELSE W<=0,D<=0;T<=1 END IF END PROCESS END A. 七段码译码器参考程序 LIBRARY IEEE USE IEEE STD LOGIC 1164.ALL ENTITY ymq Is PORT(A IN STD LOGIC VECTOR(3 DOWNTO 0) LED7S: OUT STD LOGIC VECTOR(6 DOWNTO0)); END ymq ARCHITECTURE one OF ymq Is BEGIN PROCESS(A BEGIN CASE A(3 DOWNTO 0) Is WHEN0000"=> LED7S <=0111111 WHEN"O001"=>LED7S<"0000110 WHEN"o010"多LED7S<"1011011 WHEN"00lI"=>LED7S<"1001111 WHEN"o100"=>LED7S<="1100110 WHEN"0101"→>LED7S<="1101101 WHEN"0110"=LED7S<"1111101 WHEN01ll=> LED7S <=0000111 WHEN"1000"=>LED7S<="11ll111 WHEN"1001"=>LED7s<="l01111" WHEN1010=> LED7S<=1110111 WHEN"1011"=>LED7S<"1111100 WHEN"1100"=> LEDS<="0l11001 WHEN"l101"=>LED7S<"1011110 WHEN"1110"→LED7S<="1111001"; WHEN 1111"=> LED7S <="1110001 WHEN OTHERS→>NULL; END CASE END PROCESS END one数据比较器参考程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY a3 IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); W,D,T:OUT STD_LOGIC ); END a3; ARCHITECTURE A OF a3 IS SIGNAL Q1,Q2:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(Q1,Q2) BEGIN IF A>B THEN W<='1';D<='0';T<='0'; ELSIF A=B THEN W<='0';D<='1';T<='0'; ELSE W<='0';D<='0'; T<='1'; END IF; END PROCESS; END A; 七段码译码器参考程序: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY ymq IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ; END ymq; ARCHITECTURE one OF ymq IS BEGIN PROCESS( A ) BEGIN CASE A(3 DOWNTO 0) IS WHEN "0000" => LED7S <= "0111111" ; WHEN "0001" => LED7S <= "0000110" ; WHEN "0010" => LED7S <= "1011011" ; WHEN "0011" => LED7S <= "1001111" ; WHEN "0100" => LED7S <= "1100110" ; WHEN "0101" => LED7S <= "1101101" ; WHEN "0110" => LED7S <= "1111101" ; WHEN "0111" => LED7S <= "0000111" ; WHEN "1000" => LED7S <= "1111111" ; - WHEN "1001" => LED7S <= "1101111" ; WHEN "1010" => LED7S <= "1110111" ; WHEN "1011" => LED7S <= "1111100" ; WHEN "1100" => LED7S <= "0111001" ; WHEN "1101" => LED7S <= "1011110" ; WHEN "1110" => LED7S <= "1111001" ; WHEN "1111" => LED7S <= "1110001" ; WHEN OTHERS => NULL ; END CASE ; END PROCESS ; END one;
<<向上翻页
©2008-现在 cucdc.com 高等教育资讯网 版权所有