正在加载图片...
实验四扫描显示电路的驱动 一、实验目的 了解教学系统中8位七段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以 备后面实验调用。 二、硬件要求 主芯片:EP1K10TC100一3,时钟源,八位七段数码显示管,四位拨码开关。 三、实验内容 1、用拨码开关产生8421BCD码,用CPLD产生字形编码电路和扫描驱动电路,然后 进行仿真,观察波形,正确后进行设计实现,适配化分。调节时钟频率,感受“扫描”的过 程,并观察字符亮度和显示刷新的效果。 2、编一个简单的从0~F轮换显示十六进制的电路。 四、实验原理 四位拨码开关提供8421BCD码,经译码电路后成为7段数码管的字形显示驱动信号。 (A.G)扫描电路通过可调时钟输出片选地址SEL2.0]。由SEL2.0]和A.G决定了8位 中的哪一位显示和显示什么字形.SEL20]变化的快慢决定了扫描频率的快慢。 1、参考电路(时钟频率>40HZ.如图2一4一1) OUTAUT DELED outt ,1 D3.0 00T…6 NUM[3..0] 4004444 00rt。 74161 LDN 0TUt“g ☐SEL0 QA C QB SEL1 D ac 0rW竹 2 ENT QD . ENP RCO RESET CLRN . CLK CLK 0 COUNTER 图2一4一1实验四 扫描显示电路的驱动 一、实验目的 了解教学系统中 8 位七段数码管显示模块的工作原理,设计标准扫描驱动电路模块,以 备后面实验调用。 二、硬件要求 主芯片:EP1K10TC100—3,时钟源,八位七段数码显示管,四位拨码开关。 三、实验内容 1、用拨码开关产生 8421BCD 码,用 CPLD 产生字形编码电路和扫描驱动电路,然后 进行仿真,观察波形,正确后进行设计实现,适配化分。调节时钟频率,感受“扫描”的过 程,并观察字符亮度和显示刷新的效果。 2、编一个简单的从 0~F 轮换显示十六进制的电路。 四、实验原理 四位拨码开关提供 8421BCD 码,经译码电路后成为 7 段数码管的字形显示驱动信号。 (A…G)扫描电路通过可调时钟输出片选地址 SEL[2..0]。由 SEL[2..0]和 A..G 决定了 8 位 中的哪一位显示和显示什么字形.SEL[2..0]变化的快慢决定了扫描频率的快慢。 1、 参考电路(时钟频率>40HZ,如图 2—4—1) 图 2—4—1
向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有