正在加载图片...
但传递的是控制流而不是数据流。在控制流图中引入实短线“”表示对控制规格说明的引用。 图2.14给出他们建立的实时系统模型。用数据流图表示对数据和操作数据的加工:用控制流 图表示事件在加工之间如何流动,说明导致各个加工激活的外部事件 加工模型 入数据流 输出数据流 数据流图 加工激活者 加工规格说明 控制模型 数据条件 控制流图 控制规格说明 图214数据与控制之间的关系 (3)行为建模 行为建模给出需求分析方法的所有操作原则,但只有结构化分析方法的扩充版本才提供 种建模的符号 状态一迁移图 利用如图215所示的状态一迁移图(STD)或状态一迁移表来描述系统或对象的状态,以 及导致系统或对象的状态改变的事件,从而描述系统的行为。 状态 事件 234 S t2 (a)状态迁移图 (b)状态迁移表 图215状态一迁移图与其等价的状态一迁移表例 每一个状态代表系统或对象的一种行为模式。状态一迁移图指明系统的状态如何相应外 部的信号(事件)进行推移。在状态一迁移图中,用圆圈“O”表示可得到的系统状态,用 箭头“→”表示从一种状态向另一种状态的迁移。在箭头上要写上导致迁移的信号或事件的 名字。如图215(a)所示,系统中可取得的状态=S1,S2,S3,事件=t,t2,t3,+4。事件 tl将引起系统状态S1向状态S3迁移,事件口2将引起系统状态S3向状态S2迁移,等等 图2.15(b)就是与图2.15(a)等价的状态一迁移表 另外,状态一迁移图指明了作为特定事件的结果(状态)。在状态中包含可能执行的行 为(活动或加工)9 但传递的是控制流而不是数据流。在控制流图中引入实短线“|”表示对控制规格说明的引用。 图 2.14 给出他们建立的实时系统模型。用数据流图表示对数据和操作数据的加工;用控制流 图表示事件在加工之间如何流动,说明导致各个加工激活的外部事件。 图 2.14 数据与控制之间的关系 (3) 行为建模 行为建模给出需求分析方法的所有操作原则,但只有结构化分析方法的扩充版本才提供 这种建模的符号。 ▪ 状态—迁移图 利用如图 2.15 所示的状态—迁移图(STD)或状态—迁移表来描述系统或对象的状态,以 及导致系统或对象的状态改变的事件,从而描述系统的行为。 图 2.15 状态—迁移图与其等价的状态—迁移表例 每一个状态代表系统或对象的一种行为模式。状态—迁移图指明系统的状态如何相应外 部的信号(事件)进行推移。在状态—迁移图中,用圆圈“○”表示可得到的系统状态,用 箭头“→”表示从一种状态向另一种状态的迁移。在箭头上要写上导致迁移的信号或事件的 名字。 如图 2.15(a) 所示,系统中可取得的状态=S1,S2,S3,事件=t1,t2,t3,t4。事件 t1 将引起系统状态 S1 向状态 S3 迁移,事件 t2 将引起系统状态 S3 向状态 S2 迁移,等等。 图 2.15(b) 就是与图 2.15(a) 等价的状态—迁移表。 另外,状态—迁移图指明了作为特定事件的结果(状态)。在状态中包含可能执行的行 为(活动或加工)。 加工模型 数据流图 加工规格说明 控制模型 控制流图 控制规格说明 输出控制流 输入数据流 输出数据流 输入控制流 加工激活者 数据条件
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有