正在加载图片...
保留字是对ⅤHDL编译器有特殊意义的字。 要求:严格按照ⅤHDL定义使用。 描述字组合并不出现在实际的VHDL程序 中,仅在VHDL语法定义中使用,提供在 构造ⅤHDL描述时的构件名。保留字是对VHDL编译器有特殊意义的字。 要求: 严格按照VHDL定义使用。 描述字组合并不出现在实际的VHDL程序 中 ,仅在VHDL语法定义中使用,提供在 构造VHDL描述时的构件名
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有