正在加载图片...
单字符赋值采用单引号,字符串赋值采用双引号 例如: type byte is array(7 downto O)of std logic signal b: byte, b<="l0llll0”; b(7)<= (6 downto4)<="011"; b(0to3)="01l; b<=(6=>0,0=0, others→>1), 通常一维数组用于描述总线信号(多位信号); 若数组的元素也是数组,则构成多维数组;多维数组没 有物理对应,不能生成逻辑电路,只能用于抽象模型。 在预定义类型中, bit vector和 string属于数组类型; bit vector bit元素构成的数组 如:“11010010” string caracter元素构成的数组 如:“ after you 最常用数组为 std logic vector,由 std logic元素构成 如:“01xxo110” 数组的连接运算 连接运算符号“&”只用于数组类型 数组可以通过连接运算进行扩展或改变 0&1&"1z"成为"01lz单字符赋值采用单引号,字符串赋值采用双引号; 例如: type byte is array (7 downto 0) of std_logic; signal b :byte; b<="10111110"; b(7)<=`1`; b(6 downto 4)<="011"; b(0 to 3)<="0111"; b<= ( 6=>`0`,0=>`0`,others=>`1`); 通常一维数组用于描述总线信号(多位信号); 若数组的元素也是数组,则构成多维数组;多维数组没 有物理对应,不能生成逻辑电路,只能用于抽象模型。 在预定义类型中,bit_vector 和 string 属于数组类型; bit_vector bit 元素构成的数组 如:“11010010” string caracter 元素构成的数组 如:“after you" 最常用数组为 std_logic_vector,由 std_logic 元素构成 如:“01xx0110” 数组的连接运算 连接运算符号“&”只用于数组类型; 数组可以通过连接运算进行扩展或改变; 例 : `0`&`1`&"1z" 成为 "011z
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有