正在加载图片...
conv integer, 用户自行编写的包集合将自动存放于WORK库中,使用时可 采用下列语句调用 configuration配置 在一个实体内可以编写多种不同的构造体,通过配置语 句来进行选择; 配置语句格式 configuration配置名of实体名is for选择的构造体名 end for end配置名; 此语句可以为设计增加更大的灵活性,可以对不同构造 体进行比较。end conv_integer; end upac; 用户自行编写的包集合将自动存放于 WORK 库中,使用时可 采用下列语句调用 use work.upac.all; configuration 配置 在一个实体内可以编写多种不同的构造体,通过配置语 句来进行选择; 配置语句格式: configuration 配置名 of 实体名 is for 选择的构造体名 end for; end 配置名; 此语句可以为设计增加更大的灵活性,可以对不同构造 体进行比较
<<向上翻页
©2008-现在 cucdc.com 高等教育资讯网 版权所有