正在加载图片...
本章内容 1.先看一个VHDL代码的例子 2.简单代码结构:端口定义和电路逻辑表达 3.代码文件命名 4.进程( PROCESS 5.MHDL代码中的: Port, Siganl, Variable 6.学会简单ⅥHDL设计的三板斧 7.VHDL与C代码中的函数的区别? 8.操作符&数据类型&赋值语句 9.逻辑分支语句:正;CASE 10.循环语句(LOOP本章内容 1. 先看一个VHDL代码的例子 2. 简单代码结构:端口定义和电路逻辑表达 3. 代码文件命名 4. 进程(PROCESS) 5. VHDL代码中的:Port,Siganl,Variable 6. 学会简单VHDL设计的三板斧 7. VHDL与C 代码中的函数 的区别 ? 8. 操作符 & 数据类型 & 赋值语句 9. 逻辑分支语句:IF;CASE, 10. 循环语句(LOOP)
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有