正在加载图片...
VHDL与 Verilog HDL的对比 1.整体结构 VHDL Verilog HDl entity实体名 is module模块名(端囗表) port(端口说明)输入/输出端口说明 en Architecture . is 说明部分 变量类型说明 begin 并行执行语句;并行执行语句 end结构体名; endmodule1.整体结构 VHDL Verilog HDL entity 实体名 is module 模块名(端口表) port(端口说明 ) 输入/输出端口说明 end Architecture …is 说明部分; 变量类型说明; begin 并行执行语句; 并行执行语句; end 结构体名; endmodule VHDL 与 Verilog HDL 的对比
向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有