正在加载图片...
数字集成电路的设计流程 设计输入:以电路图或HDL语言的形式形 成电路文件;输入的文件经过编译后,可 以形成对电路逻辑模型的标准描述; 逻辑仿真(功能仿真):对如上形成的逻 辑描述加入输入测试信号,检查输出信号 是否满足设计要求;在此没有考虑任何时 间关系,只是检测逻辑是否有错;设计输入:以电路图或HDL语言的形式形 成电路文件;输入的文件经过编译后,可 以形成对电路逻辑模型的标准描述; 逻辑仿真(功能仿真):对如上形成的逻 辑描述加入输入测试信号,检查输出信号 是否满足设计要求;在此没有考虑任何时 间关系,只是检测逻辑是否有错; 数字集成电路的设计流程
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有