正在加载图片...
end process 方式2 architecture beh of kdff2 is process(clk)-进程采用敏感表,在上升/下降沿都执行; if clk'event and clk= Then q<=d;-赋值只在上升沿进行 else null end it end process: 例2p643表7-37 带复位端的D触发器的设计 library lee use ieee std logic 1164. all entity kdff2 is port(d, clk, clr: in std logic, ut std logic) end kdna architecture beh of kdff2 is process( clk, clr))-进程采用敏感表,执行的结果通过 -条件语句控制 if clra'l'then q<=o'; qn<= 复位信号优先于时钟 elsif clk event and clk='I'then q ot d end if end processq<=d; end process; end beh; 方式 2 architecture beh of kdff2 is begin process(clk) --进程采用敏感表,在上升/下降沿都执行; begin if clk'event and clk='1' then q<=d; --赋值只在上升沿进行; else null; end if; end process; end beh; 例 2 p.643 表 7-37 带复位端的 D 触发器的设计 library ieee; use ieee.std_logic_1164.all; entity kdff2 is port ( d,clk,clr: in std_logic; q,qn: out std_logic); end kdff2; architecture beh of kdff2 is begin process (clk,clr) --进程采用敏感表,执行的结果通过 begin --条件语句控制; if clr='1' then q<='0' ;qn<='1'; --复位信号优先于时钟; elsif clk'event and clk='1' then q<=d; qn<= not d; end if; end process; end beh;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有