正在加载图片...
Second Example eMAX+plus ll Version 8.1 Help Topics found Contents Index Click a topic, then click Display ? Ipm_rom(ROM) ng RAM &RO MAX+PLUs‖ Implementing RAM ROM VHDL lpm rom [Parameterized ROM Megan Parameterized roM megafunction Altera recommends that you use the lpm_rom function to implement all ROM functions only for FLEX. 1.0K devices AHDL Function Prototype FUNCTIoN lpm_rom (address[LPM_ WIDTHAD-1.0]. inclock, outclock WITH (LPM_ UIDTH, LPM_ UIDTHAD, LPM_ NUMWORDS, LPM_ FILE, LPM_ AL ReTURNS (q[LPM_ WIDTH-1.0] Display VHDL Component Declaration COMPONENT lpm_ rom GENERIC (LPM_ WIDTH: POSITIVE LPM TYPE: STRING :=L ROM LPM WIDTHAD: POSITIVE LPM NUMWORDS STRING E UNUSED LPM FILE. STRING LPM ADDRESS CONTROL: STRING :- REGISTERED LPM OUTDATA: STRING := REGISTERED LPM HINT. STRII UNUSED) PORT (address: IN STD_LOGIC_VECTOR(LPM_WIDTHAD-1 DOWNTO 0) inclock. IN STD LOGIC :=1 outclock: IN STD LOGIC =1 emenab: IN STD LOGIC : ='1 OUT STD_ LOGIC VECTOR(LPM_ UIDTH-1 DOWNTO 0)) END COMPONENTCopyright © 1997 Altera Corporation 2/22/2021 Second Example
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有