正在加载图片...
Example LPM ROM LIBRARY ieee USE ieeestd logic 1164.ALL -rBRARY lpm USE Ipmlpm components. ALL: ENTITY UTuR5-15 PORT( address: IN STD LOGIC UECTOR (7 DOWNTO ) inclock b: IN STD LOGIC END UL out: OUT STD_LOGIC_ VECTOR (7 DOWNTO 0)): ARCHITECTURE example OF uhd13 Is What is the mif file GENERIC (LPM WIDTH: POSITIUE; LPM TYPE. STRING L ROM LPM WIDTHAD POSITIUE LPM NUMWORDS. STRING . UNUSED LPM FILE: STRING LPM ADDRESS CONTROL: STRING : REGISTERED: LPM OUTDATA: STRING . REGISTERED LPM HINT: STRING : UNUSED): PORT (address: IN STD LOGIC UECTOR(LPM WIDTHAD-1 DOWNTO 8); inclock: IN STD LOGIC -1 outclock. IN STD LOGIC memenab: IN STD LOGIC :-1: q: OUT STD LOGIC UECTOR(LPM WIDTH-1 DOWNTO 5) END COMPONENT BEGIN inst 1: Ipm rom GENERIC MAP (Ipm width =>8, ipm widthad =>8, lpm file =>testrom mif lpm address control registered", lpm outdata =>unregistered PORT MAP( address = address, inclock = inclock, memenab = menenab, q => qout) END example:Copyright © 1997 Altera Corporation 2/22/2021 Example LPM_ROM What is the MIF file
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有