正在加载图片...
7.3VHDL的基本知识 7.3数据对象 1.常量 常量( Constants)是指那些设计描述中不会变化的值。所 谓常量说明就是对某一常量名赋予一个固定的值,而且只 能赋值一次。通常赋值在程序开始前进行,该值的数据类 型则在说明语句中指明。常量说明的一般格式为 CONSTANT常量名:数据类型[:=设置值] 例如: CoNSTANT VcC: REAL: =5.0 定义Vcc的数据类型是实数,赋值为50V constant bus width: INTEGER: =8 定义 bus width被赋值为8的整数7.3 VHDL的基本知识 7.3.3 数据对象 1.常量 常量(Constants)是指那些设计描述中不会变化的值。所 谓常量说明就是对某一常量名赋予一个固定的值,而且只 能赋值一次。通常赋值在程序开始前进行,该值的数据类 型则在说明语句中指明。常量说明的一般格式为 CONSTANT 常量名:数据类型 [:=设置值]; 例如: CONSTANT Vcc:REAL:=5.0; --定义Vcc的数据类型是实数,赋值为5.0V CONSTANT bus_width:INTEGER:=8; --定义bus_width被赋值为8的整数
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有