正在加载图片...
7.3VHDL的基本知识 7.3数据对象 2.变量 变量( Variables)只能在进程语句、函数语句和过程语句结构中 使用。变量的赋值是直接的,非预设的。分配给变量的值会立即 成为当前值,变量不能表达“连线”或存储元件。变量说明的格 式为 VARIABLE变量名:数据类型[:=设置值] 例如 VARIABLE XY: INTEGER; 定义xy变量数据类型是整数7.3 VHDL的基本知识 7.3.3 数据对象 2.变量 变量(Variables)只能在进程语句、函数语句和过程语句结构中 使用。变量的赋值是直接的,非预设的。分配给变量的值会立即 成为当前值,变量不能表达“连线”或存储元件。变量说明的格 式 为 VARIABLE 变量名:数据类型 [:=设置值]; 例如: VARIABLE x,y:INTEGER; -- 定义x,y变量数据类型是整数
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有