正在加载图片...
O Active-HDL 4.2 (happy)-e: \MY_HDLdesi gn happy\src\HEX2LEDwhd File Edit Search View Design Simulation Tools Help e+》x Design Browser.x10 library IEEE use IEEE std logic 1164.all; Top-Level selection 3 entity HEXZLED is [B happy 14 port Add New File 15 HEX: in sTD LOGIC VECTOR (3 downto 0); 自?Hx2 LED. vhd 16 LED: out STD LOGIC VECTOR (6 downto 0) 中口 functional 17 MM happy library 18 end HEX2LED: 19 20 --)) End of automatically maintained section 22 architecture HEX2LED of HEX2 LED is <<enter your statements here>> 25 E hex 2led. hd o desi 15:30,2002年10月29日 Dease M3 UTI S6gcx31gxyr BFiles $St. GRe.9 Console Ready Ln 1. col 1设计中心
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有