正在加载图片...
(3)4位全加器 A、B分别为4位二进制,c为低位进位输入信号 lil faryieee> use ieee std_logic_1164.al add4 useieee. std_ logic_unsigned. all; b[3…s3 ntity addis port(a, b in std _ logic_vector(3 downto O) [3…0 gcy co 1: in std_logic; s: out std_logic_vector(3 downto O)) end add4: 4位全加器的电路符号 architecture one of add4 is signal temp: std_logic_vector(4 downto O); b cemp<=(0&)+b <=temp (3 downto O) co<-temp do(3) 4位全加器 A、B分别为4位二进制,ci为低位进位输入信号 4位全加器的电路符号 add4 a[3…0] b[3…0] co s[3…0] ci library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity add4 is port(a,b:in std_logic_vector(3 downto 0); co: out std_logic; ci: in std_logic; s: out std_logic_vector(3 downto 0)); end add4; architecture one of add4 is signal temp: std_logic_vector(4 downto 0); begin temp<=(‘0’&a )+b+ci; s<=temp(3 downto 0); co<=temp(4); end one;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有