正在加载图片...
7.2VHDL语言的基本结构 722实体说明 实体是一个设计实体的表层设计单元,其功能是对这个 设计单元与外部电路进行接口描述。它描述了设计单 元的输入输出接口信号或引脚,是设计实体经封装后 对外的一个通信界面。其一般格式为 NETITY实体名IS GENERIC(类属表);] PORT(端口表); END实体名; 这里大写字母表示实体说明的关键字,是不可缺少和 省略的部分,实体名可由设计者自由命名,用来表示 被设计电路芯片的名称,但是必须与ⅤHDL程序的文 件名称相同7.2 VHDL语言的基本结构 7.2.2 实体说明 实体是一个设计实体的表层设计单元,其功能是对这个 设计单元与外部电路进行接口描述。它描述了设计单 元的输入输出接口信号或引脚,是设计实体经封装后 对外的一个通信界面。其一般格式为 NETITY 实体名 IS [GENERIC(类属表);] PORT(端口表); END实体名; 这里大写字母表示实体说明的关键字,是不可缺少和 省略的部分,实体名可由设计者自由命名,用来表示 被设计电路芯片的名称,但是必须与VHDL程序的文 件名称相同
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有