正在加载图片...
7.2VHDL语言的基本结构 7.2.2实体说明 1类属说明 类属为设计实体和其外部环境通信的静态信息提供通道,用来 规定端口的大小,实体中子元件的数目,实体的定时特性等。 类属说明的一般格式为 GENERIC(常数名:数据类型:设定值; 常数名:数据类型:设定值); 例如: GENERIC(wide: Integer:=32);-说明宽度为32 GENERIC(tmp: integer: =Ins) 说明延时1ns7.2.2 实体说明 1.类属说明 类属为设计实体和其外部环境通信的静态信息提供通道,用来 规定端口的大小,实体中子元件的数目,实体的定时特性等。 类属说明的一般格式为 GENERIC(常数名:数据类型:设定值; ∶ 常数名:数据类型:设定值); 例如:GENERIC(wide:integer:=32); --说明宽度为32 GENERIC(tmp:integer:=1ns); --说明延时1 ns 7.2 VHDL语言的基本结构
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有