正在加载图片...
esTc 设计中 第五章作业 并行信号赋值语句有哪两种形式?请将两种 形式做比较 2.VHDL中主要有哪几种延迟类型?它们有什 么区别? 4.试用i语句设计编写一个四—十六译码器。 5.试用case语句设计编写一个四—十六译码 器。 7.放在进程内和进程外的,而形式完全一样的 两个信号赋值语勻有何本质上的差别?试举 例说明。 8.试给出例5-15所对应的逻辑电路图。设计中心 第五章作业 1. 并行信号赋值语句有哪两种形式?请将两种 形式做比较。 2. VHDL中主要有哪几种延迟类型?它们有什 么区别? 4. 试用if语句设计编写一个四——十六译码器。 5. 试用case语句设计编写一个四——十六译码 器。 7. 放在进程内和进程外的,而形式完全一样的 两个信号赋值语句有何本质上的差别?试举 例说明。 8. 试给出例5-15所对应的逻辑电路图
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有